HOME  知る・調べる  用語一覧   フィボナッチ数列

フィボナッチ数列 とは

2つ前の項と1つ前の項の和をとって得られる数列で、1, 1, 2, 3, 5, 8, 13, 21, 34 …という数列。フィボナッチ数列の隣り合った数の比は黄金比の近似値となり、右に進むほどより正確な黄金比となります。

フィボナッチ数列は以下の数列で表されます。

フィボナッチ数列

フィボナッチ数列の詳しいお話はこちら▼

関連記事以下の記事で詳しく解説しています。

フィボナッチ数列とは?〜自然界にも存在する不思議な数列〜

スポンサーリンク